2014年黑金FPGA原创教程规划发布

简介: 2014年已经过去快一半了,才出黑金2014年的FPGA原创教程规划,有点对不起大家了,哈哈! 俗话说,亡羊补牢,为时不晚,希望大家谅解啊,对于大家的支持,我们黑金人一向是感激、感恩、感谢! 下面大概说一下2014年的原创教程规划: 我们正在连载的是【FPGA那些事儿-驱动篇I 】,这本教程连载完成以后,后续还有【FPGA那些事儿-驱动篇II 】、【FPGA那些事儿-工具篇III】、【FPGA那些事儿-体验篇】。

2014年已经过去快一半了,才出黑金2014年的FPGA原创教程规划,有点对不起大家了,哈哈!

俗话说,亡羊补牢,为时不晚,希望大家谅解啊,对于大家的支持,我们黑金人一向是感激、感恩、感谢!

下面大概说一下2014年的原创教程规划:

我们正在连载的是【FPGA那些事儿-驱动篇I 】,这本教程连载完成以后,后续还有【FPGA那些事儿-驱动篇II 】、【FPGA那些事儿-工具篇III】、【FPGA那些事儿-体验篇】。完成上面四本教程的连载,2014年基本上就过去了。

一年发布4部教程,对于我们来说工作量非常大,占据了我们工程师很多休息时间,不过为了让大家可以轻松的学习FPGA,我们的付出还是值得的。

2014年应该还是算【FPGA那些事儿】系列教程基础内容的一年,大部分内容还是停留在我们整个教程体系的基础内容部分。完成今年的教程工作,我们将对教程内容进行提高和升级,希望大家可以打好基础,等待我们更多更深入的FPGA教程。

在此,我总结一下,【FPGA那些事儿】系列教程已经完成的部分:

【FPGA那些事儿--扫盲篇】

http://www.heijin.org/forum.php?mod=viewthread&tid=12100&page=1&extra=#pid29439

【FPGA那些事儿--建模篇】 (原名Verilog HDL那些事儿)

http://www.heijin.org/forum.php?mod=viewthread&tid=22334&extra=page%3D1

【FPGA那些事儿--时序篇】

http://www.heijin.org/forum.php?mod=viewthread&tid=22336&extra=page%3D1

【FPGA那些事儿--整合篇】

http://www.heijin.org/forum.php?mod=viewthread&tid=22337&extra=page%3D1

【FPGA那些事儿--工具篇I TimeQuest 静态时序分析】

http://www.heijin.org/forum.php?mod=viewthread&tid=25284&extra=page%3D1

【FPGA那些事儿—工具篇II Modelsim仿真技巧】

http://www.heijin.org/forum.php?mod=viewthread&tid=25285&extra=page%3D1

【NIOSII那些事儿】

http://www.heijin.org/forum.php?mod=viewthread&tid=124&extra=page%3D1

【FPGA那些事儿--建模篇I】(正在连载)

http://www.cnblogs.com/alinx/p/3754426.html

 

目录
相关文章
|
9月前
|
异构计算
【乌拉喵.教程】IIC总线介绍及FPGA编程(二)
【乌拉喵.教程】IIC总线介绍及FPGA编程(二)
【乌拉喵.教程】IIC总线介绍及FPGA编程(二)
|
9月前
|
算法 安全 芯片
【乌拉喵.教程】IIC总线介绍及FPGA编程(一)
【乌拉喵.教程】IIC总线介绍及FPGA编程(一)
【乌拉喵.教程】IIC总线介绍及FPGA编程(一)
|
9月前
|
存储 算法 芯片
【乌拉喵.教程】LocalBus总线介绍及FPGA总线编程
【乌拉喵.教程】LocalBus总线介绍及FPGA总线编程
260 0
【乌拉喵.教程】LocalBus总线介绍及FPGA总线编程
|
Go 异构计算
【黑金原创教程】【FPGA那些事儿-驱动篇I 】实验八:PS/2模块② — 键盘与组合键
实验八:PS/2模块② — 键盘与组合键 实验七之际,我们学习如何读取PS/2键盘发送过来的通码与断码,不过实验内容也是一键按下然后释放,简单按键行为而已。然而,实验八的实验内容却是学习组合键的按键行为。
1001 0
|
Go 异构计算 C语言
【黑金原创教程】【FPGA那些事儿-驱动篇I 】实验七:PS/2模块① — 键盘
实验七:PS/2模块① — 键盘 实验七依然也是熟烂的PS/2键盘。相较《建模篇》的PS/2键盘实验,实验七实除了实现基本的驱动以外,我们还要深入解PS/2时序,还有PS/2键盘的行为。不过,为了节省珍贵的页数,怒笔者不再重复有关PS/2的基础内容,那些不晓得的读者请复习《建模篇》或者自行谷歌一下。
910 0
|
C语言 异构计算
【黑金原创教程】【FPGA那些事儿-驱动篇I 】实验六:数码管模块
实验六:数码管模块 有关数码管的驱动,想必读者已经学烂了 ... 不过,作为学习的新仪式,再烂的东西也要温故知新,不然学习就会不健全。黑金开发板上的数码管资源,由始至终都没有改变过,笔者因此由身怀念。
895 0
|
C语言 异构计算
【黑金原创教程】【FPGA那些事儿-驱动篇I 】实验五:按键模块④ — 点击,长点击,双击
实验五:按键模块④ — 点击,长点击,双击 实验二至实验四,我们一共完成如下有效按键: l 点击(按下有效) l 点击(释放有效) l 长击(长按下有效) l 双击(连续按下有效) 然而,不管哪个实验都是只有两项“功能”的按键模块而已,如今我们要创建三项“功能”的按键模块,亦即点击(按下有效),长击,还有双击。
1002 0
|
C语言 异构计算
【黑金原创教程】【FPGA那些事儿-驱动篇I 】实验四:按键模块③ — 单击与双击
实验四:按键模块③ — 单击与双击 实验三我们创建了“点击”还有“长点击”等有效按键的多功能按键模块。在此,实验四同样也是创建多功能按键模块,不过却有不同的有效按键。实验四的按键功能模块有以下两项有效按键: l 单击(按下有效); l 双击(连续按下两下有效)。
772 0
|
C语言 异构计算
【黑金原创教程】【FPGA那些事儿-驱动篇I 】实验三:按键模块② — 点击与长点击
实验三:按键模块② — 点击与长点击 实验二我们学过按键功能模块的基础内容,其中我们知道按键功能模块有如下操作: l 电平变化检测; l 过滤抖动; l 产生有效按键。 实验三我们也会z执行同样的事情,不过却是产生不一样的有效按键: l 按下有效(点击); l 长按下有效(长点击)。
886 0
|
C语言 异构计算
【黑金原创教程】【FPGA那些事儿-驱动篇I 】实验二:按键模块① - 消抖
实验二:按键模块① - 消抖 按键消抖实验可谓是经典中的经典,按键消抖实验虽曾在《建模篇》出现过,而且还惹来一堆麻烦。事实上,笔者这是在刁难各位同学,好让对方的惯性思维短路一下,但是惨遭口水攻击 ... 面对它,笔者宛如被甩的男人,对它又爱又恨。
825 0

热门文章

最新文章