《CMOS集成电路后端设计与实战》——导读

简介: 在当今信息化的社会中,集成电路已成为各行各业实现信息化、智能化的基础。无论是在军事还是民用上,它已起着不可替代的作用。集成电路产业是全球范围内的核心高科技产业之一,具有战略性和市场性双重特性。在国防和国家安全领域,集成电路起着维护国家利益,捍卫国家主权的关键作用;在经济建设和增强综合国力的过程中,集成电路又是核心竞争力的具体表现。

1bcaa645e821fec430fbd081dbc8a11e2510d4e5

前  言

在当今信息化的社会中,集成电路已成为各行各业实现信息化、智能化的基础。无论是在军事还是民用上,它已起着不可替代的作用。集成电路产业是全球范围内的核心高科技产业之一,具有战略性和市场性双重特性。在国防和国家安全领域,集成电路起着维护国家利益,捍卫国家主权的关键作用;在经济建设和增强综合国力的过程中,集成电路又是核心竞争力的具体表现。自20世纪中期以来,集成电路产业遵循摩尔定律飞速发展。集成电路产业的兴起奠定了现代信息技术的基石,现代信息技术正迅速地改变世界人们的生活方式,没有半导体技术突飞猛进的发展就没有信息技术日新月异的变化。
集成电路后端设计技术是集成电路设计中的关键技术,宇航级、军用级和高性能级芯片都需要先进的后端设计技术来支撑。目前我国集成电路后端设计能力远落后于国外发达国家,而且高端的后端设计技术基本被少数几个发达国家的集成电路设计公司所垄断,因此发展和提高我国集成电路后端设计能力具有打破国外技术垄断和封锁的重要意义。
本书主要内容
全书共有14章,第1~2章概述集成电路发展状况及后端设计方法,第3~14章讲解集成电路后端设计技术。
第1章简要介绍集成电路发展状况和重要性,使读者对集成电路行业有一个初步的了解。
第2章简要介绍集成电路后端设计方法和业界主流的后端设计工具,使读者对后端设计有广度上的认识。
第一部分 后端全定制设计及实战
第3章讲解后端全定制设计之标准单元设计技术的理论知识。标准单元库是集成电路设计的基础,它的质量和性能对集成电路设计至关重要。具备自行设计标准单元库的后端设计能力能够大大提升集成电路的设计性能并对特殊需求的设计进行灵活优化。
第4章讲解后端全定制设计之标准单元电路设计技术。在CMOS工艺下,一个给定的逻辑功能可以通过多种电路结构来实现。该章将介绍几种比较常见的数字电路实现结构,使读者学习标准单元电路设计的设计方法。
第5章讲解后端全定制设计之标准单元电路设计实战。该章将讲解基于AMD公司的全定制时序单元HLFF的电路设计过程,使读者学习标准单元电路在实际工程应用中的基本设计技术。
第6章讲解后端全定制设计之标准单元版图设计技术。在完成单元电路的设计之后,就进入版图设计阶段。不管对于数字集成电路设计还是模拟混合集成电路设计,版图设计都是必不可少的重要设计环节。
第7章讲解后端全定制设计之标准单元版图设计实战。该章将介绍基于AMD公司的全定制时序单元HLFF的版图设计过程,该HLFF单元的版图设计环境基于TSMC130的制造工艺要求,使读者学习标准单元版图在实际工程应用中的基本设计技术。
第8章讲解后端全定制设计之标准单元特征化技术。对一个复杂芯片中的每一个模块,不论是简单的标准单元(如NAND、NOR等),还是复杂的定制设计模块(如RAM或处理器核等)都需要一个时序模型。
第9章讲解后端全定制设计之标准单元特征化实战。在设计完成标准单元的原理图和版图后,时序分析工具需要读取该单元的时序信息,比如综合工具需要知道单元的逻辑功能、单元实际的输入负载电容、在不同输入斜率和输出负载情况下单元的延时和功耗、单元的面积等,单元时序信息特征化就是用模拟仿真器来提取标准单元以上信息的过程。通过时序信息特征化提供单元的时序数据给多种时序分析工具使用。
第二部分 后端半定制设计及实战
第10章讲解后端半定制设计之物理实现技术。当ASIC设计完成前端逻辑综合并生成了门级网表后,接下来的任务就是门级网表的物理实现,即把门级网表转换成版图(Layout),这个过程通常称为半定制后端设计。在半定制设计流程中,数字后端是指自动布局布线(Auto Place and Route,APR)物理实现。
第11章讲解后端半定制设计之OpenSparcT1-FPU布局布线实战。该章基于OpenSparcT1里浮点处理器单元(Floating-point Processor Unit,FPU)的物理实现来讲解布局布线设计过程,使读者学习半定制设计中布局布线在实际工程应用中的基本设计技术。
第12章讲解后端半定制设计之OpenSparcT1-FPU电压降分析实战。该章基于OpenSparcT1里浮点计算单元部件(Floating-point processor unit,FPU)的物理实现结果讲解电压降分析过程,使读者学习半定制设计中电压降分析在实际工程中的应用。
第三部分 静态时序分析及实战
第13章讲解静态时序分析技术。随着芯片尺寸的减小和集成度密集化的增强、电路设计复杂度的增加、电路性能要求的提高,它们都对芯片内的时序分析提出了更高的要求。静态时序分析是大规模集成电路设计中非常重要的一个环节,它能验证设计在时序上的正确性,并决定设计是否能够在要求的工作频率下运行。
第14章讲解静态时序分析实战。该章基于OpenSparcT1里浮点计算单元部件(Floating-point processor unit,FPU)的物理实现来讲解静态时序分析过程,使读者学习静态时序分析在实际工程中的应用。
最后,非常感谢我的导师张民选教授和项目指导老师李少青研究员传授我集成电路设计知识,同时感谢icdream论坛模拟部件设计板块版主吴占托先生为本书实战素材的运行环境提供的大力支持。在本书的编写过程中,得到了机械工业出版社华章分社的大力支持,在此,对他们表示诚挚的感谢。
集成电路设计领域的研究发展迅速,对许多问题作者并未作深入研究,一些有价值的新内容也来不及收入本书,加上作者知识水平和实践经验有限,书中难免存在不足之处,敬请读者批评指正。

目  录

前言
第1章 引论
1.1 集成电路发展史简介
1.2 国内集成电路发展现状
1.3 国际集成电路发展趋势

第2章 集成电路后端设计方法
2.1 集成电路后端设计
2.2 后端全定制设计方法
2.2.1 后端全定制设计流程介绍
2.2.2 主流后端全定制设计工具介绍
2.2.3 后端全定制设计小结
2.3 后端半定制设计方法
2.3.1 后端半定制设计流程介绍
2.3.2 主流后端半定制设计工具介绍
2.3.3 后端半定制设计小结

第一部分 后端全定制设计及实战
第3章 后端全定制设计之标准单元设计技术
3.1 设计标准单元库的重要性
3.2 标准单元设计技术
3.2.1 标准单元的基本介绍
3.2.2 标准单元的基本类型
3.2.3 标准单元库提供的数据
3.2.4 标准单元设计参数
3.3 标准单元设计流程
3.3.1 方案设计
3.3.2 标准单元电路及版图设计
3.3.3 标准单元库版图和时序信息的提取
3.3.4 库模型与库文档生成
3.3.5 设计工具流程验证
3.3.6 测试电路设计及工艺流片验证
3.4 标准单元设计需要的数据
3.5 标准单元设计EDA工具

第4章 后端全定制设计之标准单元电路设计技术
4.1 CMOS工艺数字电路实现结构
4.1.1 静态电路实现结构
4.1.2 伪NMOS电路实现结构
4.1.3 传输管与传输门电路
4.1.4 动态电路实现结构
4.1.5 高扇入逻辑电路的实现结构
4.2 CMOS数字电路优化
4.3 标准单元库中几种时序单元介绍
4.3.1 C2MOS触发器
4.3.2 真单相触发器
4.3.3 脉冲触发器
4.3.4 数据流触发器
第5章 后端全定制设计之标准单元电路设计实战
5.1 电路设计流程
5.2 时序单元HLFF的电路设计
5.2.1 建立库及电路设计环境
5.2.2 Vituoso Schematic Composer使用基础
5.2.3 时序单元HLFF电路实现
5.2.4 时序单元HLFF电路元件的产生
5.2.5 时序单元HLFF电路网表输出
5.3 时序单元HLFF的电路仿真
5.3.1 设置带激励输入的仿真电路图
5.3.2 使用Virtuoso Spectre Circuit Simulator进行电路仿真
第6章 后端全定制设计之标准单元版图设计技术
6.1 基本CMOS工艺流程
6.2 基本版图层
6.2.1 NMOS/PMOS晶体管的版图实现
6.2.2 串联晶体管的版图实现
6.2.3 并联晶体管的版图实现
6.2.4 CMOS反相器的版图实现
6.2.5 缓冲器的版图实现
6.2.6 CMOS二输入与非门和或非版图实现
6.3 版图设计规则
6.4 版图设计中晶体管布局方法
6.4.1 基本欧拉路径法
6.4.2 欧拉路径法在动态电路中的应用
6.4.3 晶体管尺寸对版图的影响
6.5 标准单元版图设计的基本指导
6.5.1 优化设计标准单元
6.5.2 标准单元PIN脚的设计
第7章 后端全定制设计之标准单元版图设计实战
7.1 版图设计流程
7.2 时序单元HLFF版图实现
7.2.1 建立项目库及版图设计环境
7.2.2 Vituoso Layout Editor使用基础
7.2.3 时序单元HLFF版图实现
7.2.4 时序单元HLFF版图GDS输出
7.3 版图设计规则检查
7.3.1 执行版图设计规则检查
7.3.2 基于版图设计规则结果的调试
7.4 版图与电路等价性检查
7.4.1 执行版图与电路等价性检查
7.4.2 基于版图与电路等价性检查结果的调试
7.5 版图寄生参数提取
第8章 后端全定制设计之标准单元特征化技术
8.1 标准单元时序模型介绍
8.1.1 基本的时序模型归纳
8.1.2 时序信息建模方法
8.1.3 时序信息文件基本内容
8.2 标准单元物理格式LEF介绍
8.2.1 LEF文件中重要参数详细说明
8.2.2 LEF文件全局设置
8.2.3 LEF文件中工艺库物理信息设置
8.2.4 LEF文件中单元库物理信息设置
8.2.5 LEF对应的图形视图
第9章 后端全定制设计之标准单元特征化实战
9.1 时序信息提取实现
9.1.1 时序信息特征化的实现流程
9.1.2 时序信息特征化的数据准备
9.1.3 标准单元HLFF的时序信息特征化
9.1.4 SiliconSmart工具流程介绍
9.2 物理信息抽象化实现
9.2.1 物理信息抽象化实现流程
9.2.2 建立物理信息抽象化工作环境
9.2.3 标准单元HLFF的物理信息抽象化
9.2.4 版图抽象化后LEF数据输出
第二部分 后端半定制设计及实战
第10章 后端半定制设计之物理实现技术
10.1 半定制物理实现工程师应该具备的能力
10.2 半定制物理实现流程
10.3 半定制物理实现使用的EDA工具
10.4 半定制物理实现需要的数据
10.5 布局规划
10.6 电源规划
10.6.1 电压降与电迁移
10.6.2 电源规划前的功耗预估方法
10.6.3 电源条带的基本设置方法
10.6.4 电源环的基本设置方法
10.6.5 电源网络分析的基本方法
10.7 时钟树的实现
10.7.1 常见时钟网络的实现方法
10.7.2 时钟树的综合策略
10.7.3 时钟树的基本性能参数
10.7.4 时钟树的综合流程
10.7.5 门控时钟
10.7.6 时钟树优化基本指导
10.8 布线
10.8.1 天线效应
10.8.2 串扰噪声
10.8.3 数模混合信号线走线的基本方法
10.9 ECO
第11章 后端半定制设计之Open-SparcT1-FPU布局布线实战
11.1 布局布线的基本流程
11.2 布局布线工作界面介绍
11.3 建立布局布线工作环境
11.4 布局布线实现
11.4.1 芯片布局
11.4.2 电源网络实现
11.4.3 自动放置标准单元
11.4.4 时钟树综合
11.4.5 布线
11.4.6 芯片版图完整性实现
11.4.7 布局布线数据输出
第12章 后端半定制设计之Open-SparcT1-FPU电压降分析实战
12.1 电压降分析的基本流程
12.2 建立电压降分析的工作环境
12.3 电压降分析实现
12.3.1 设置电源网格库
12.3.2 功耗计算
12.3.3 电压降分析
第三部分 静态时序分析及实战
第13章 静态时序分析技术
13.1 静态时序分析介绍
13.1.1 静态时序分析背景
13.1.2 静态时序分析优缺点
13.2 静态时序分析基本知识
13.2.1 CMOS逻辑门单元时序参数
13.2.2 时序模型
13.2.3 互连线模型
13.2.4 时序单元相关约束
13.2.5 时序路径
13.2.6 时钟特性
13.2.7 时序弧
13.2.8 PVT环境
13.3 串扰噪声
13.3.1 串扰噪声恶化原因
13.3.2 串扰噪声的体现形式
13.3.3 串扰噪声相互作用形式
13.3.4 时间窗口
13.4 时序约束
13.4.1 时钟约束
13.4.2 I/O延时约束
13.4.3 I/O环境建模约束
13.4.4 时序例外
13.4.5 恒定状态约束
13.4.6 屏蔽时序弧
13.4.7 时序设计规则约束
13.5 静态时序分析基本方法
13.5.1 时序图
13.5.2 时序分析策略
13.5.3 时序路径延时的计算方法
13.5.4 时序路径的分析方法
13.5.5 时序路径分析模式
第14章 静态时序分析实战
14.1 静态时序分析基本流程
14.2 建立静态时序分析工作环境
14.3 静态时序分析实现
14.3.1 建立时间分析
14.3.2 保持时间分析
14.3.3 时序设计规则分析
14.3.4 时序违反修复

相关文章
|
6月前
|
安全 前端开发 应用服务中间件
每个后端都应该了解的OpenResty入门以及网关安全实战(2)
泛型 for 循环通过一个迭代器函数来遍历所有值,类似 java 中的 foreach 语句。 Lua 编程语言中泛型 for 循环语法格式:
96 0
|
10月前
|
JSON 前端开发 安全
layui框架实战案例(22):多附件上传实战开发实录(php后端、文件删除、数据库删除)
layui框架实战案例(22):多附件上传实战开发实录(php后端、文件删除、数据库删除)
325 0
|
1天前
|
缓存 JSON 安全
【Uniapp 专栏】Uniapp 与后端接口对接的实战要点
【5月更文挑战第12天】在 Uniapp 项目开发中,成功对接后端接口至关重要。要点包括:深入理解后端提供的接口文档,确保数据格式(如 JSON)正确处理,选择合适的请求方式(如 GET、POST),设置正确的请求头,做好错误处理和数据缓存策略,确保安全性(如使用 HTTPS 和令牌验证)并进行全面测试。同时,进行版本管理和团队协作,与后端开发人员保持良好沟通,以实现高效、稳定的接口对接。
|
14天前
|
机器学习/深度学习 算法 安全
深度学习在图像识别中的应用与挑战构建高效可扩展的RESTful API:后端开发的实战指南
【4月更文挑战第30天】 随着计算机视觉技术的飞速发展,深度学习在图像识别领域取得了显著的成果。本文将探讨深度学习技术在图像识别中的应用及其所面临的挑战。首先,我们将介绍深度学习的基本原理和关键技术,然后分析其在图像识别中的优势和应用案例。最后,我们将讨论当前深度学习在图像识别领域所面临的主要挑战和未来的发展趋势。
|
17天前
|
编解码 前端开发 JavaScript
node实战——koa实现文件下载和图片/pdf/视频预览(node后端储备知识)
node实战——koa实现文件下载和图片/pdf/视频预览(node后端储备知识)
25 1
|
17天前
|
存储 缓存 NoSQL
node实战——koa给邮件发送验证码并缓存到redis服务(node后端储备知识)
node实战——koa给邮件发送验证码并缓存到redis服务(node后端储备知识)
22 0
|
17天前
|
安全 关系型数据库 MySQL
node实战——后端koa结合jwt连接mysql实现权限登录(node后端就业储备知识)
node实战——后端koa结合jwt连接mysql实现权限登录(node后端就业储备知识)
23 3
|
2月前
|
缓存 监控 安全
构建高效可扩展的RESTful API:后端开发的实战指南
在数字化转型的浪潮中,后端API的设计和实现成为连接数据与服务的关键枢纽。本文深入探讨了构建高效、可扩展的RESTful API的实践方法,涵盖了从基本设计原则到性能优化技巧的多个方面。通过分析真实案例,文章将提供一套系统的解决方案框架,帮助开发者提升API的稳定性、可维护性和响应速度,同时保证服务的高可用性和安全性。
|
5月前
|
XML API 数据库
七天.NET 8操作SQLite入门到实战 - 第六天后端班级管理相关接口完善和Swagger自定义配置
七天.NET 8操作SQLite入门到实战 - 第六天后端班级管理相关接口完善和Swagger自定义配置
|
6月前
|
安全 应用服务中间件 Shell
每个后端都应该了解的OpenResty入门以及网关安全实战(1)
“OpenResty 是一个基于 Nginx 与 Lua 的高性能 Web 平台,其内部集成了大量精良的 Lua 库、第三方模块以及大多数的依赖项。用于方便地搭建能够处理超高并发、扩展性极高的动态 Web 应用、Web 服务和动态网关。”
92 0