testbench的文件读写

简介:

reg [7:0] data_mem[0:255]; //定义一个8bit X 256的数组
initial
    begin
    $readmemh("sin.txt",data_mem); //将sin.txt中的数据读入存储器data_mem
    end

后面就可以用data_mem作为你的测试数据了。例如可以通过以下代码,将data_mem的数据送给data_out

always @(posedge clk)
begin
    if(rst)
        begin
        data_out <= 8'd0;
        i <= 8'd0;
        end
    else
        begin
        data_out <= data_mem[i]; //将存储器中的数据输出
        i <= i + 8'd1;
        end
end

以下的Verilog语句实现将信号data_out的数据写入data_out.txt文件

integer w_file;
    initial w_file = $fopen("data_out.txt");
    always @(i)
    begin
        $fdisplay(w_file,"%h",data_out);
        if(i == 8'd255)    //共写入256个数据
            $stop;
    end


目录
相关文章
|
7月前
|
Linux
文件处理命令
文件处理命令
50 0
|
6月前
|
存储 数据处理 索引
【100天精通python】Day27:文件与IO操作_CSV文件处理
【100天精通python】Day27:文件与IO操作_CSV文件处理
31 0
|
Python
python文件的打开、读取、关闭、写入与二进制文件的读写操作
python文件的打开、读取、关闭、写入与二进制文件的读写操作
2083 0
python文件的打开、读取、关闭、写入与二进制文件的读写操作
|
15天前
|
存储 JSON 数据管理
文件操作与管理:用Python读写数据
【4月更文挑战第8天】本文介绍了Python中进行高效文件操作与数据管理的方法。通过`os`模块管理目录,使用`open`函数读写文件,`pickle`模块保存和加载复杂数据结构。示例代码展示了如何读取和写入文本文件,处理CSV和JSON数据,以及利用`pickle`序列化和反序列化Python对象。掌握这些技能对于处理现代社会中的数据至关重要。
|
13天前
|
存储 缓存
Verilog文件读写系统任务
Verilog文件读写系统任务
|
15天前
|
存储 C++ iOS开发
C++文件操作(文本文件的读写+二进制文件的读写)
C++文件操作(文本文件的读写+二进制文件的读写)
|
3月前
|
存储 Python
学习Python的文件操作:读写、追加和删除文件
学习Python的文件操作:读写、追加和删除文件
55 0
|
5月前
|
存储 Unix 编译器
32 QT - 二进制文件读写
32 QT - 二进制文件读写
37 0
|
8月前
|
安全 Python
【100天精通python】Day21:文件及目录操作_文件的权限处理和批量处理
【100天精通python】Day21:文件及目录操作_文件的权限处理和批量处理
81 0
|
编译器 数据库 C语言
文件处理操作
文件处理操作
60 0
文件处理操作

热门文章

最新文章